You must login before you can run this tool.
Synopsys digital design
Digital design using Synopsys EDA
Category
Published on
Abstract
Access: To obtain access to this tool, please request membership into this group: https://nanohub.org/groups/synopsyspurdue
Synopsys digital design contains a suite of Synopsys electronic design automation (EDA) tools focusing on developing silicon digital designs and verifying their behavior.
This tool includes PrimeTime, Fusion Compiler, and Synthesis (Design Vision).
- Synopsys' PrimeTime static timing analysis tool provides a single, golden, trusted signoff solution for timing, signal integrity, power and variation-aware analysis.
- Synopsys' Fusion Compiler is a tool that combines synthesis, place and route (P&R), and signoff technologies on a single RTL-to-GDSII data model.
- Synopsys' Synthesis tool, using Design Vision, is a logic synthesis tool that takes HDL designs and synthesizes them to gate-level HDL netlists.
Powered by
Synopsys Electronic Design Automation: https://www.synopsys.com/
Sponsored by
Tool deployment supported by the Network for Computational Nanotechnology and Purdue University.